Essays.club - Ensayos gratis, notas de cursos, notas de libros, tareas, monografías y trabajos de investigación
Buscar

Ingeniería en Computación Laboratorio de Diseño de Sistemas Digitales

Enviado por   •  31 de Marzo de 2018  •  1.166 Palabras (5 Páginas)  •  686 Visitas

Página 1 de 5

...

Es posible realizar esta conversión mediante una operación lógica XOR entre el número Binario a convertir y el mismo número con un desplazamiento lógico a la derecha.

[pic 2]

Descripción código VHDL 1.1

[pic 3]

2.-implemente el código 1.1 en ISPLEVER genere un proyecto para escribir el código VHDL 1.1, y siga los siguientes pasos:

- Crear una carpeta

- File new Project

[pic 4][pic 5]

- Seleccione: Show Obselete Devices

- Select Device Family: - GAL Device-GAL22V10D

- Crear un proyecto inicial o en su efecto agregar el archivo. vhd

[pic 6][pic 7]

- Seleccionar el módulo VHDL para empezar a escribir el código VHDL.

- Dar doble clic en el archivo .vhd -nos abre un editor de texto, donde empezamos a capturar el código en un VHDL.

[pic 8][pic 9]

- Realice la compilación del código vhdl, utilice la opción : synthesize VHDL file o synplicity synthesize VHDL file

[pic 10]

- Generar el mapa de fusibles par GAL seleccione crear mapa de fusibles (create Fuse map), el cual obtendrá el archivo tipo jedec (***.jed).

[pic 11]

4.- una vez en ISP lever para generar el diagrama que asigna los pines de conexión o mapa de fusibles damos clic en Chip Report.

[pic 12][pic 13][pic 14]

5.- En la carpeta donde guardo su proyecto debe buscar el archivo.jed, una vez guárdelo en una usb.

6.- utilice el Software de Proteus para simular su circuito y realice sus conexiones y experimente los resultados obtenidos en el simulador.

7.- antes de implementarlo en su Protoboard, utilice un programador universal, para programar su GAL (Nota: puede utilizar el programador universal que está ubicado en los laboratorios abiertos, el software de grabación para su GAL se llama MAX LOADER ver figura, posteriormente cargué el archivo de su proyecto con la extensión .JED.

[pic 15][pic 16]

[pic 17]

[pic 18] [pic 19]

[pic 20][pic 21][pic 22][pic 23][pic 24]

Preguntas de la práctica:

1.- Mencione por lo menos tres puntos donde puede usted implementar y aplicar el código binario a gray.

2.- cuales son los voltajes de salida de la GAL22V10D.

3.- considerando la arquitectura interna de la GAL22V10D mencione sus características de la Macrocelda que constituye a la GAL

4.- cual es función que realiza las resistencias al implementar circuitos digitales. 5.-dibuje la arquitectura interna de la GAL22V10D.

6.- verifique y experimente la tabla de verdad del circuito de código binario a gray 7.-En VHDL describa que es la entidad

Es simplemente la declaración de las entradas y salidas de un módulo

8.-Mencione como se describe un puerto en VHDL.

9.-Mencione cual es la función del uso de paquetes y librerías en VHDL.

10.-En VHDL cuál es la principal característica que realiza la librería use ieee.std_logic_1164.all; 11.- Defina que es Arquitectura en VHDL y realice su descripción mencionando un ejemplo.

NOTA:

-La Fecha de entrega de la actividad 1 que corresponde a preguntas es individual e impresa.

-La actividad 2, que corresponde a la práctica, el reporte como la simulación de la práctica es individual e impresa .solo la implementación del circuito en el protoboard la entrega es equipo.

-La entrega de ambas actividades es para el próximo jueves 10/03/2016.[pic 25]

...

Descargar como  txt (7.8 Kb)   pdf (54.7 Kb)   docx (16 Kb)  
Leer 4 páginas más »
Disponible sólo en Essays.club