Essays.club - Ensayos gratis, notas de cursos, notas de libros, tareas, monografías y trabajos de investigación
Buscar

Diseño Combinacional Estructurado.

Enviado por   •  15 de Marzo de 2018  •  1.000 Palabras (4 Páginas)  •  237 Visitas

Página 1 de 4

...

A continuación se muestra el código programado en la coolruner.

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

use IEEE.NUMERIC_STD.ALL;

use IEEE.STD_LOGIC_ARITH;

entity Modulo is

Port ( cat1 : in UNSIGNED (4 downto 0);

cat2 : in UNSIGNED (4 downto 0);

h : out UNSIGNED (4 downto 0));

end Modulo;

architecture Behavioral of Modulo is

SIGNAL catdesx :UNSIGNED (4 DOWNTO 0);

SIGNAL catdesy :UNSIGNED (4 DOWNTO 0);

SIGNAL Xmax :UNSIGNED (4 DOWNTO 0);

SIGNAL Ymin :UNSIGNED (4 DOWNTO 0);

SIGNAL OP1 :UNSIGNED (4 DOWNTO 0);

SIGNAL Suma :UNSIGNED (4 DOWNTO 0);

begin

-- Compara el cateto mayor con menor y asigna Xmax y Ymin para las operciones

process (cat1,cat2)

begin

IF (cat1 > cat2 OR cat1 = cat2) then

Xmax

Ymin

ELSE

Xmax

Ymin

end if;

end process ;

-- PRIMER OPERACION

process (Xmax,catdesx,OP1)

begin

catdesx

OP1

END PROCESS;

-- SEGUNDA OPERACION

process (Ymin,catdesy)

begin

catdesy

end process;

-- TERCER OPERACION

process (Suma,Catdesy,OP1)

begin

Suma

end process;

-- COMPARADOR OPERACION/CATETO MAYOR

process (Suma,Xmax)

begin

if (Suma > Xmax OR Suma = Xmax) then

H

else

H

end if;

end process;

end Behavioral;

Por último se muestra el código de la asignación de pines de la coolruner.

NET "cat1" LOC = "S:PIN10";

NET "cat1" LOC = "S:PIN7";

NET "cat1" LOC = "S:PIN5";

NET "cat1" LOC = "S:PIN3";

NET "cat1" LOC = "S:PIN9";

NET "cat2" LOC = "S:PIN142";

NET "cat2" LOC = "S:PIN139";

NET "cat2" LOC = "S:PIN136";

NET "cat2" LOC = "S:PIN134";

NET "cat2" LOC = "S:PIN140";

NET "h" LOC = "S:PIN119";

NET "h" LOC = "S:PIN117";

NET "h" LOC = "S:PIN115";

NET "h" LOC = "S:PIN113";

NET "h" LOC = "S:PIN118";

VI CONCLUSIONES

Se logró diseñar e implementar un circuito combinacional usando VHDL y dispositivos de lógica programable.

Se adquirió agilidad en el uso del lenguaje VHDL para compilar e implementar el circuito digital logrando simular el comportamiento del circuito y verificar si cumple dicha función.

VII Referencias

[1]Electrónica Digital. Aplicaciones y problemas con VHDL. José Ignacio Artigas M. y otros. Editorial. Prentice Hall.

[2]Análisis y Diseño de Circuitos Lógicos Digitales. Nelson Víctor P. Y otros Editorial Prentice Hall

[3]Fundamentos de Diseño Lógico. Charles H.Roth, Jr. Editorial Thomson.

[4]Diseño Digital. Principios y Prácticas. Jhon F. Wakerly.

...

Descargar como  txt (6.9 Kb)   pdf (52.9 Kb)   docx (16 Kb)  
Leer 3 páginas más »
Disponible sólo en Essays.club